Package Example
USE ieee.std_logic_1164.all;
TYPE state_type IS (idle, tap1, tap2, tap3, tap4);
port(xh : in std_logic_vector(10 downto 0);
clk, first: in std_logic;
yn : out std_logic_vector(11 downto 4));
FUNCTION compare (variable a , b : integer) RETURN boolean;
FUNCTION compare (variable a , b : integer) IS