FOR LOOP using a Variable: 4-bit Left Shifter
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
PORT ( shft_lft : in std_logic;
d_in : in std_logic_vector(3 downto 0);
q_out : out std_logic_vector(7 downto 0));
ARCHITECTURE logic OF shift4 IS
VARIABLE shft_var : std_logic_vector(7 DOWNTO 0);
shft_var(7 downto 4) := "0000";
shft_var(3 downto 0) := d_in;