PPT ½½¶óÀ̵å
VHDL Code for Simple Gate (1)
library ieee;
use ieee.std_logic_1164.all;
entity and2 is
port(
a : in std_logic;
b : in std_logic;
y : out std_logic
);
end and2;
architecture a_and of and2 is
begin
y <= a and b;
end a_and;
Simple Gate Logic (1)
a
b
y
Gate
10
ÀÌÀü ½½¶óÀ̵å
´ÙÀ½ ½½¶óÀ̵å
ù ½½¶óÀ̵å·Î À̵¿
±×·¡ÇÈ ¹öÀü º¸±â