Previous

BUFGDLL

Clock Delay Locked Loop Buffer

XC3000
XC4000E
XC4000X
XC5200
XC9000
Spartan
SpartanXL
Virtex
N/A
N/A
N/A
N/A
N/A
N/A
N/A
Primitive

figures/x8719.gif

BUFGDLL is a special purpose clock delay locked loop buffer for clock skew management. It is provided as a user convenience for the most frequently used configuration of elements for clock skew management. It consists of an IBUFG followed by a CLKDLL followed by a BUFG.

Next