LPM Instantiation - lpm_mux
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_signed.all;
USE lpm.lpm_components.all;
PORT (a : in std_logic_2d (3 downto 0, 15 downto 0);
sel : in std_logic_vector(1 downto 0);
y : out std_logic_vector (15 downto 0));
ARCHITECTURE behavior OF tst_mux IS
u1: lpm_mux GENERIC MAP(lpm_width => 16, lpm_size => 4, lpm_widths => 2)
PORT MAP (data => a, sel => sel, result => y);