LPM Instantiation - lpm_mult
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE lpm.lpm_components.all;
PORT ( a, b : in std_logic_vector(7 downto 0);
q_out : out std_logic_vector(15 downto 0));
ARCHITECTURE behavior OF tst_mult IS
u1 : lpm_mult GENERIC MAP (lpm_widtha => 8, lpm_widthb => 8,
lpm_widths => 16, lpm_widthp => 16)
PORT MAP(dataa => a, datab => b, result => q_out);