PPT ½½¶óÀ̵å
- Signal ¼±¾ð ¹× Ȱ¿ë
library ieee;
use ieee.std_logic_1164.all;
entity gate is
port(
a,b,c,d : in std_logic;
y : out std_logic
);
end gate;
architecture a_g of gate is
signal e,f : std_logic;
begin
e <= a and b;
f <= c and d;
y <= e or f;
end a_g;
-- SignalÀº Entity ³»ºÎÀÇ Node.