PPT ½½¶óÀ̵å
- VHDL Code for Simple Gate (3)
library ieee;
use ieee.std_logic_1164.all;
entity gate is
port(
a,b,c,d : in std_logic;
y : out std_logic
);
end gate;
architecture a_g of gate is
begin
y <= (a and b) or (c and not d);
end a_g;
-- NotÀ» Á¦¿ÜÇÑ Logic OperatorÀÇ
-- ¿ì¼± ¼øÀ§´Â °°´Ù