PPT ½½¶óÀ̵å
ADD ¿¡ ´ëÇÑ Coding
Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity add is
port (
a,b : in std_logic_vector(7 downto 0);
y : out std_logic_vector(7 downto 0)
);
end add1;
architecture a_add of add is
begin
y <= a + b;
end a_add;
3
ÀÌÀü ½½¶óÀ̵å
´ÙÀ½ ½½¶óÀ̵å
ù ½½¶óÀ̵å·Î À̵¿
±×·¡ÇÈ ¹öÀü º¸±â