PPT ½½¶óÀ̵å
ADD with Reg. ¿¡ ´ëÇÑ Coding
use ieee.std_logic_1164.all;
a,b : in std_logic_vector(7 downto 0);
q : out std_logic_vector(7 downto 0)
architecture a_adr of adr is
a,b : in std_logic_vector(7 downto 0);
y : out std_logic_vector(7 downto 0)
signal sum : std_logic_vector(7 downto 0);
elsif clk¡¯event and clk = ¡®1¡¯ then