Previous

Using EDIFNETO

EDIFNETO, a Viewlogic tool, writes out a Viewlogic design into a Xilinx-ready EDIF netlist. Running EDIFNETO is always the first step in implementing a design, and sometimes the first step in simulation as well. Whenever you make changes to your schematic, you must run EDIFNETO again so that the Xilinx software can process those changes.

Running the custmenu command during the installation flow added EDIFNETO to the ViewDraw pull-down menus. With the top-level schematic open in ViewDraw, select Tools Write Xilinx EDIF to create the design.edn file. This command runs EDIFNETO with the level set to Xilinx, as required by the M1 software.

Use the EDIF GUI as another method of writing an EDIF netlist. To launch the EDIF GUI, select the button on the Workview Office Toolbar that says “EDIF.” The EDIF dialog box appears. Click on the EDIF Netlist Writer tab. Refer to the next figure.

Figure 8.48 EDIFNETO Dialog Box

The following explains some of the fields and buttons.

Perform the following steps to create an EDIF netlist for Calc.

  1. Complete the Input field (your top-level design). Use the Browse button to select the discrete path to the calc.1 file. Click on the Browse button then descend into the sch directory to find this file.

  2. Verify the content of the Output field, filled in with calc.edn as soon as you fill in the Input field. You only need to change this if you do not want calc.edn as the output of EDIFNETO.

  3. Complete the Level field, found in the Options section. You must set this field to “xilinx” so the EDIF Netlist Writer knows how far to descend into the hierarchy. The Level set to Xilinx tells EDIFNETO to stop at Xilinx primitives.

Click on Apply to write the calc.edn file.

Next