contents.gifindex.gif

Controlling Power Consumption in ABEL

Use the PWR_MODE attribute to selectively control whether specified logic operates in high speed or low power mode. The default power mode for the design is controlled in the Template Manager Implementation Options window. Use the following syntax:

xilinx property PWR_MODE={LOW|STD} signal_list;

For example, to set the functions out0 and out1 to low power mode, (the remaining functions will use the default power mode) use the following:

xilinx property PWR_MODE=LOW out0 out1;

For example, to set the functions out2 and out3 to high speed mode, (the remaining functions use the default power mode) use the following:

xilinx property PWR_MODE=STD out2 out3;