contents.gifindex.gifprev0.gifnext1.gif

Controlling Register Initial States

Use the INIT attribute to control the initial value of macrocell registers during device power up.

For CPLD devices, use this attribute for registers that must power up to a logic 1 state (by default all registers power up in a 0 logic state).


Note: Because this value is only passed onto the fitter, the initial value will not be reflected during functional simulation.


Controlling initial stated in ABEL

Controlling initial states in VHDL or Verilog