contents.gifindex.gif

Xilinx Property PWR_MODE

Use PWR_MODE to selectively control whether specified logic operates in high speed or low power mode. The default power mode for the design is controlled by a fitter option. Use the following syntax:

xilinx property PWR_MODE={LOW|STD} signal_list;

For example, to set selective functions to low power mode, use the following:

xilinx property PWR_MODE=LOW out0 out1;

For example, to set selective functions to high speed mode, use the following:

xilinx property PWR_MODE=STD out0 out1;