contents.gifindex.gif

Xilinx Property SLOW

Use SLOW to selectively set slow slew rate on a pin-by-pin basis for any output or I/O signal. The default slew rate for the design is otherwise controlled by fitter options. Use the following syntax:

xilinx property SLOW signal_list;

For example, to set selective pins to slow slew rate, use the following:

xilinx property SLOW out0 out1;