contents.gifindex.gif

Xilinx Property TNM

Use TNM to tag flip-flops with a timing-group name to be used in a Timespec.

XILINX PROPERTY 'TNM=group_name signal_name...';

Where signal_name is the name of a registered node or output pin signal in your design.

Note: If you assign the TNM property to a registered output signal, the fitter will apply it to the flip-flop driving the signal, not the output pad itself.

To assign group names to input and output pads in your top-level ABEL design, use the TNM or TIMEGRP property in the UCF file. For ABEL modules in a schematic design, you can declare group names for your input and output pads in your schematic.