FSM VHDL Code - Enumerated Data Type
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
PORT(clk, reset, nw : in std_logic;
sel: out std_logic_vector(1 downto 0);
nxt, first: out std_logic);
ARCHITECTURE logic OF state_m2 IS
(idle, tap1, tap2, tap3, tap4);
SIGNAL filter : state_type;