Previous

Chapter 7

Concurrent Statements

A VHDL architecture contains a set of concurrent statements. Each concurrent statement defines one of the interconnected blocks or processes that describe the overall behavior or structure of a design. Concurrent statements in a design execute continuously, unlike sequential statements which execute one after another. (See the “Sequential Statements” chapter.)

This chapter defines concurrent statements and their function. The chapter is divided into sections that explain the parts that make concurrent statements.

Next